Publications

Found 52 results
2014
B. Lesage, Griffin, D., Davis, R., and Altmeyer, S., On the application of Static Probabilistic Timing Analysis to Memory Hierarchies. RTSOPS 2014, 2014.
J. Javier, Kosmidis, L., Abella, J., Quinones, E., and Cazorla, F. J., Bus Designs for Time-Probabilistic Multicore Processors, in Proceedings Design, Automation and Test in Europe, Dresden, Germany, 2014.
J. Abella, Hardy, D., Puaut, I., Quinones, E., and Cazorla, F. J., On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques, in Proceedings 26th Euromicro Conference on Real-Time Systems (ECRTS14), 2014.
L. Kosmidis, Abella, J., Quinone, E., Wartel, F., Farrall, G., and Cazorla, F. J., Containing Timing-Related Certification Cost in Automotive Systems Deploying Complex Hardware, in Proceedings 51st Design Automation Conference (DAC), California, 2014.
G. Fernandez, Abella, J., Quinones, E., Rochange, C., Vardanega, T., and Cazorla, F. J., Contention in multicore hardware shared resources: Understanding of the state of the art. WCET 2014 workshop, 2014.
S. Altmeyer and Davis, R., On the Correctness, Optimality and Precision of Static Probabilistic Timing Analysis, in Proceedings Design, Automation and Test in Europe, Dresden, 2014.
J. Abella, Quinones, E., Wartel, F., Vardanega, T., and Cazorla, F. J., Heart of Gold: Making the Improbable Happen to Extend Coverage in Probabilistic Timing Analysis, in Proceedings 26th Euromicro Conference on Real-Time Systems (ECRTS14), 2014.
D. Griffin, Lesage, B., Burns, A., and Davis, R. I., Lossy Compression for Worst-Case Execution Time Analysis of PLRU Caches, Real-Time Networks and Systems (RTNS). 2014.
L. K. (BSC), Quinones, E., Abella, J., Vardanega, T., Broster, I., and Cazorla, F. J., Probabilistic Timing Analysis and Its Impact on Processor Architecture, 2014.
S. Altmeyer, Cucu-Grosjean, L., Davis, R. I., and Lesage, B., Progress on static probabilistic timing analysis for systems with random cache replacement policies. RTSOPS 2014, 2014.
PROXIMA: A Probabilistic Approach to the Timing Behaviour of Mixed-Criticality Systems, ADA USER JOURNAL, vol. 35, no. 2. pp. 118-122, 2014.
L. Kosmidis, Abella, J., Wartel, F., Quinones, E., Colin, A., and Cazorla, F. J., PUB: Path Upper-Bounding for Measurement-Based Probabilistic Timing Analysis, in Proceedings 26th Euromicro Conference on Real-Time Systems (ECRTS14), 2014.
D. Compagnin, Mezzetti, E., and Vardanega, T., Putting RUN into practice: implementation and evaluation, in Proceedings 26th Euromicro Conference on Real-Time Systems (ECRTS14), 2014.
C. Maxim, Cucu-Grosjeans, L., and Triquet, B., Rare events and worst-case execution times. RTSOPS 2014, 2014.
D. Griffin, Lesage, B., Burns, A., and Davis, R. I., Static Probabilistic Timing Analysis of Random Replacement Caches using Lossy Compression, Real-Time Networks and Systems (RTNS). 2014.
E. Mezzetti and Vardanega, T., Supporting global resource sharing in RUN-scheduled multiprocessor systems, in Real-Time Networks and Systems (RTNS), 2014.
M. Slijepcevic, Kosmidis, L., Abella, J., Quiñones, E., and Cazorla, F. J., Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore Systems, in Proceedings 51st Design Automation Conference San Francisco DAC 2014 , California, 2014.
M. S. (BSC), (BSC), L. K., Abella, J., Quinones, E., and Cazorla, F., Timing Verification of Fault-Tolerant Chips for Safety-Critical Applications in Harsh Environments, in Special Issue on Harsh Chips, 2014.

Pages