Publications

Found 51 results
A B C D E F G H I J K L M N O P Q R S T U V W X Y Z 
A
J. Abella, Hardy, D., Puaut, I., Quinones, E., and Cazorla, F. J., On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques, in Proceedings 26th Euromicro Conference on Real-Time Systems (ECRTS14), 2014.
J. Abella, Quinones, E., Wartel, F., Vardanega, T., and Cazorla, F. J., Heart of Gold: Making the Improbable Happen to Extend Coverage in Probabilistic Timing Analysis, in Proceedings 26th Euromicro Conference on Real-Time Systems (ECRTS14), 2014.
J. Abella, Hernandez, C., Quinones, E., Cazorla, F. J., Conmy, P. Ryan, Azkarate-askasua, M., Perez, J., Mezzetti, E., and Vardanega, T., WCET Analysis Methods: Pitfalls and Challenges on their Trustworthiness, SIES 2015, 2015.
J. Abella, del Castillo, J., Cazorla, F. J., and Padilla, M., Extreme value theory in computer sciences: The case of embedded safety-critical systems. 6th International Conference on Risk Analysis (ICRA), 2015.
I. Agirre, Azkarate-askasua, M., Larrucea, A., Perez, J., Vardanega, T., and Cazorla, F. J., A safety concept for a railway mixed-criticality embedded system based on multicore partitioning, DASC 2015, 2015.
I. Agirre, Azkarate-askasua, M., Perez, J., Hernandez, C., Abella, J., Vardanega, T., and Cazorla, F. J., IEC-61508 SIL3-compliant Pseudo-Random Number Generators for Probabilistic Timing Analysis, DSD 2015, 2015.
I. Agirre, Azkarate-askasua, M., Larrucea, A., Perez, J., Vardanega, T., and Cazorla, F. J., Automotive Safety Concept Definition for Mixed-Criticality Integration on a COTS Multicore. SASSUR 2016, 2016.
S. Altmeyer, Cucu-Grosjean, L., Davis, R. I., and Lesage, B., Progress on static probabilistic timing analysis for systems with random cache replacement policies. RTSOPS 2014, 2014.
S. Altmeyer and Davis, R., On the Correctness, Optimality and Precision of Static Probabilistic Timing Analysis, in Proceedings Design, Automation and Test in Europe, Dresden, 2014.
S. Altmeyer, Cucu-Grosjean, L., and Davis, R., Static probabilistic timing analysis for real-time systems using random replacement caches, Real-Time Systems, vol. 51, pp. 77-123, 2015.

Pages