Publications

Found 52 results
2015
P. Ryan Conmy, Pearce, M., Ziccardi, M., Mezzetti, E., Vardanega, T., Anderson, J., Gianarro, A., Hernandez, C., and Cazorla, F. J., Measurement-Based Probabilistic Timing Analysis: From Academia to Space Industry, DASIA 2015, 2015.
D. Griffin, Lesage, B., Bate, I., Soboczenski, F., and Davis, R. I., Modelling Fault Dependencies when Execution Time Budgets are Exceeded. In proceedings 23rd International Conference on Real-Time Networks and Systems (RTNS 2015), pp. 129-138, 2015.
S. Milutinovic, Quinones, E., Abella, J., and Cazorla, F. J., PACO: Fast Average-Performance Estimation for Time-Randomized Caches, 52nd Design Automation Conference (DAC), 2015.
L. Santinelli and Cucu-Grosjean, L., A probabilistic calculus for probabilistic real-time systems. ACM Transactions on Embedded Computing Systems, 2015.
C. Hernandez, Abella, J., Gianarro, A., Andersson, J., and Cazorla, F. J., Random Modulo: a New Processor Cache Design for Safety-critical Systems, DAC 2016, 2015.
E. Mezzetti, Ziccardi, M., Vardanega, T., Abella, J., Quinones, E., and Cazorla, F. J., Randomized Caches Can Be Pretty Useful to Hard Real-Time Systems. Leibniz Transactions on Embedded Systems (LITES), 2015.
J. Perez, Safety certification of cross-domain mixed-criticality systems (Current research status, certification authority assessments and lessons learnt). ARTEMIS Technology Conference 2015, 2015.
I. Agirre, Azkarate-askasua, M., Larrucea, A., Perez, J., Vardanega, T., and Cazorla, F. J., A safety concept for a railway mixed-criticality embedded system based on multicore partitioning, DASC 2015, 2015.
M. .Ziccardi, .Cornaglia, A., .Mezzetti, E., and .Vardanega, T., Software-enforced Interconnect Arbitration for COTS Multicores. WCET 2015, 2015.
S. Milutinovic, Abella, J., Hardy, D., Quinones, E., Puaut, I., and Cazorla, F. J., Speeding up Static Probabilistic Timing Analysis, in ARCS, 2015.
B. Lesage, Griffin, D., Altmeyer, S., and Davis, R. I., Static Probabilistic Timing Analysis for Multi-path Programs. In proceedings 36th Real-Time Systems Symposium (RTSS 2015), 2015.
S. Altmeyer, Cucu-Grosjean, L., and Davis, R., Static probabilistic timing analysis for real-time systems using random replacement caches, Real-Time Systems, vol. 51, pp. 77-123, 2015.
D. Maxim, Soboczenski, F., Bate, I., and Tovar, E., Study of the Reliability of Statistical Timing Analysis for Real-Time Systems, RTNS 2015, 2015.
F. Wartel, Kosmidis, L., Gogonel, A., Baldovin, A., Stephenson, Z., Triquet, B., Quinones, E., Lo, C., Mezzetti, E., Broster, I., Abella, J., Cucu-Grosjean, L., Vardanega, T., and Cazorla, F. J., Timing Analysis of an Avionics Case Study on Complex Hardware/Software Platforms, in DATE, 2015.
C. Hernandez, Abella, J., Cazorla, F. J., Andersson, J., and Gianarro, A., Towards Making a LEON3 Multicore Compatible with Probabilistic Timing Analysis, DASIA 2015, 2015.
J. Abella, Hernandez, C., Quinones, E., Cazorla, F. J., Conmy, P. Ryan, Azkarate-askasua, M., Perez, J., Mezzetti, E., and Vardanega, T., WCET Analysis Methods: Pitfalls and Challenges on their Trustworthiness, SIES 2015, 2015.
2016
I. Agirre, Azkarate-askasua, M., Larrucea, A., Perez, J., Vardanega, T., and Cazorla, F. J., Automotive Safety Concept Definition for Mixed-Criticality Integration on a COTS Multicore. SASSUR 2016, 2016.
L. Kosmidis, Quinones, E., Abella, J., Vardanega, T., Hernandez, C., Gianarro, A., Broster, I., and Cazorla, F. J., Fitting Processor Architectures for Measurement-Based Probabilistic Timing Analysis, 2016.
L. Kosmidis, Compagnin, D., Morales, D., Mezzetti, E., Quinones, E., Abella, J., Vardanega, T., and Cazorla, F. J., Measurement-Based Timing Analysis of the AURIX Caches. WCET 2016, 2016.
E. Diaz, Abella, J., Mezzetti, E., Agirre, I., Azkarate-askasua, M., Vardanega, T., and Cazorla, F. J., Mitigating the Instrumentation Overhead of Measurement-Based Timing Analysis. WCET 2016, 2016.
P. Benedicte, Kosmidis, L., Quinones, E., Abella, J., and Cazorla, F. J., Modeling the Con dence of Timing Analysis for Time Randomised Caches, SIES 2016, 2016.
P. Benedicte, Kosmidis, L., Quinones, E., Abella, J., and Cazorla, F. J., Modeling the Confidence of Timing Analysis for Software Time Randomised Caches, INDIN 2016, 2016.
S. Milutinovic, Abella, J., and Cazorla, F. J., Modelling Probabilistic Cache Representativeness in the Presence of Arbitrary Access Patterns, ISORC 2016, 2016.
F. J. Cazorla, Abella, J., Anderson, J., Vardanega, T., Vatrinet, F., Bate, I., Broster, I., Azkarate-askasua, M., Wartel, F., Cucu, L., Cros, F., Farrall, G., Gogonel, A., Gianarro, A., Triquet, B., and Hernand, C., PROXIMA: Improving Measurement-Based Timing Analysis through Randomisation and Probabilistic Analysis, DSD 2016, 2016.
M. Slijepcevic, Fernandez, M., Hernandez, C., Abella, J., Quinones, E., and Cazorla, F. J., pTNoC: Time-Analyzable Scalable NoC Designs for Mixed-Criticality Multicore Embedded Systems, DSD 2016, 2016.

Pages